Підписатись
Wenfeng Zhao
Wenfeng Zhao
Assistant Professor, Binghamton University
Підтверджена електронна адреса в binghamton.edu - Домашня сторінка
Назва
Посилання
Посилання
Рік
14.3 15fJ/b static physically unclonable functions for secure chip identification with< 2% native bit instability and 140× Inter/Intra PUF hamming distance separation in 65nm
A Alvarez, W Zhao, M Alioto
2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of …, 2015
1112015
A 65-nm 25.1-ns 30.7-fJ robust subthreshold level shifter with wide conversion range
W Zhao, AB Alvarez, Y Ha
IEEE Transactions on Circuits and Systems II: Express Briefs 62 (7), 671-675, 2015
862015
Static physically unclonable functions for secure chip identification with 1.9–5.8% native bit instability at 0.6–1 V and 15 fJ/bit in 65 nm
AB Alvarez, W Zhao, M Alioto
IEEE Journal of Solid-State Circuits 51 (3), 763-775, 2016
832016
On-chip neural data compression based on compressed sensing with sparse sensing matrices
W Zhao, B Sun, T Wu, Z Yang
IEEE transactions on biomedical circuits and systems 12 (1), 242-254, 2018
482018
Deep compressive autoencoder for action potential compression in large-scale neural recording
T Wu, W Zhao, E Keefer, Z Yang
Journal of neural engineering 15 (6), 066019, 2018
452018
AES architectures for minimum-energy operation and silicon demonstration in 65nm with lowest energy per encryption
W Zhao, Y Ha, M Alioto
2015 IEEE International Symposium on Circuits and Systems (ISCAS), 2349-2352, 2015
412015
Novel self-body-biasing and statistical design for near-threshold circuits with ultra energy-efficient AES as case study
W Zhao, Y Ha, M Alioto
IEEE transactions on very large scale integration (VLSI) systems 23 (8 …, 2014
402014
Analysis and optimization strategies toward reliable and high-speed 6T compute SRAM
J Chen, W Zhao, Y Wang, Y Ha
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (4), 1520-1531, 2021
322021
A bioelectric neural interface towards intuitive prosthetic control for amputees
AT Nguyen, J Xu, M Jiang, DK Luu, T Wu, W Tam, W Zhao, MW Drealan, ...
Journal of neural engineering 17 (6), 066001, 2020
322020
Spatial Engineering of Microbial Consortium for Long‐Lasting, Self‐Sustaining, and High‐Power Generation in a Bacteria‐Powered Biobattery
L Liu, M Mohammadifar, A Elhadad, M Tahernia, Y Zhang, W Zhao, ...
Advanced Energy Materials 11 (22), 2100713, 2021
242021
A streaming PCA VLSI chip for neural data compression
T Wu, W Zhao, H Guo, HH Lim, Z Yang
IEEE transactions on biomedical circuits and systems 11 (6), 1290-1302, 2017
242017
A 55nm, 0.4 V 5526-TOPS/W compute-in-memory binarized CNN accelerator for AIoT applications
H Zhang, Y Shu, W Jiang, Z Yin, W Zhao, Y Ha
IEEE Transactions on Circuits and Systems II: Express Briefs 68 (5), 1695-1699, 2021
222021
Training-free compressed sensing for wireless neural recording using analysis model and group weighted-minimization
B Sun, W Zhao, X Zhu
Journal of neural engineering 14 (3), 036018, 2017
222017
Neuronix enables continuous, simultaneous neural recording and electrical microstimulation
Z Yang, J Xu, AT Nguyen, T Wu, W Zhao, W Tam
2016 38th Annual International Conference of the IEEE Engineering in …, 2016
202016
A 0.4 V 280-nW frequency reference-less nearly all-digital hybrid domain temperature sensor
W Zhao, R Pan, Y Ha, Z Yang
2014 IEEE Asian Solid-State Circuits Conference (A-SSCC), 301-304, 2014
192014
A reliable 8T SRAM for high-speed searching and logic-in-memory operations
J Chen, W Zhao, Y Wang, Y Shu, W Jiang, Y Ha
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 30 (6), 769-780, 2022
172022
A wide dynamic range neural data acquisition system with high-precision delta-sigma ADC and on-chip EC-PC spike processor
J Xu, AT Nguyen, T Wu, W Zhao, DK Luu, Z Yang
IEEE transactions on biomedical circuits and systems 14 (3), 425-440, 2020
172020
A low-noise, wireless, frequency-shaping neural recorder
J Xu, AT Nguyen, W Zhao, H Guo, T Wu, H Wiggins, EW Keefer, H Lim, ...
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 8 (2 …, 2018
172018
Artificial intelligence enables real-time and intuitive control of prostheses via nerve interface
DK Luu, AT Nguyen, M Jiang, MW Drealan, J Xu, T Wu, W Tam, W Zhao, ...
IEEE Transactions on Biomedical Engineering 69 (10), 3051-3063, 2022
162022
Analysis and design of reconfigurable sense amplifier for compute SRAM with high-speed compute and normal read access
J Chen, W Zhao, Y Wang, Y Ha
IEEE Transactions on Circuits and Systems II: Express Briefs 68 (12), 3503-3507, 2021
102021
У даний момент система не може виконати операцію. Спробуйте пізніше.
Статті 1–20